Code

data: Return the number of bytes that would have been returned.
[sysdb.git] / t / unit / utils / proto_test.c
index d82a40b64e2a4cc2a0c5c472baff4817b5d54dec..e7e8d44cca4730959a5cccbf720e3298d5adc345 100644 (file)
  * ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
  */
 
+#if HAVE_CONFIG_H
+#      include "config.h"
+#endif
+
 #include "core/store.h"
 #include "utils/proto.h"
-#include "libsysdb_test.h"
+#include "testutils.h"
 
 #include <check.h>
 #include <stdbool.h>
@@ -159,7 +163,7 @@ START_TEST(test_marshal_data)
                sdb_data_t datum = SDB_DATA_INIT;
                ssize_t check;
 
-               if (sdb_data_format(&golden_data[i].datum, v1, sizeof(v1), 0) < 0)
+               if (! sdb_data_format(&golden_data[i].datum, v1, sizeof(v1), 0))
                        snprintf(v1, sizeof(v1), "<ERR>");
 
                fail_unless(len == golden_data[i].expected_len,
@@ -185,7 +189,7 @@ START_TEST(test_marshal_data)
                }
 
                check = sdb_proto_unmarshal_data(buf, len, &datum);
-               if (sdb_data_format(&datum, v2, sizeof(v2), 0) < 0)
+               if (! sdb_data_format(&datum, v2, sizeof(v2), 0))
                        snprintf(v2, sizeof(v2), "<ERR>");
 
                if (sdb_data_isnull(&golden_data[i].datum))
@@ -495,7 +499,7 @@ START_TEST(test_marshal_attribute)
                                        pos, (int)buf[pos], (int)golden_data[i].expected[pos]);
                }
 
-               if (sdb_data_format(&golden_data[i].attr.value, v1, sizeof(v1), 0) < 0)
+               if (! sdb_data_format(&golden_data[i].attr.value, v1, sizeof(v1), 0))
                        snprintf(v1, sizeof(v1), "<ERR>");
 
                check = sdb_proto_unmarshal_attribute(buf, len, &attr);
@@ -503,7 +507,7 @@ START_TEST(test_marshal_attribute)
                                "<%zu> sdb_proto_unmarshal_attribute(buf<%s>) = %zi; expected: %zi",
                                i, golden_data[i].attr.key, check, len);
 
-               if (sdb_data_format(&attr.value, v2, sizeof(v2), 0) < 0)
+               if (! sdb_data_format(&attr.value, v2, sizeof(v2), 0))
                        snprintf(v2, sizeof(v2), "<ERR>");
                fail_unless((attr.last_update == golden_data[i].attr.last_update)
                                && (attr.parent_type == golden_data[i].attr.parent_type)
@@ -524,22 +528,17 @@ START_TEST(test_marshal_attribute)
 }
 END_TEST
 
-Suite *
-util_proto_suite(void)
+TEST_MAIN("utils::proto")
 {
-       Suite *s = suite_create("utils::proto");
-       TCase *tc;
-
-       tc = tcase_create("core");
+       TCase *tc = tcase_create("core");
        tcase_add_test(tc, test_marshal_data);
        tcase_add_test(tc, test_marshal_host);
        tcase_add_test(tc, test_marshal_service);
        tcase_add_test(tc, test_marshal_metric);
        tcase_add_test(tc, test_marshal_attribute);
-       suite_add_tcase(s, tc);
-
-       return s;
-} /* util_proto_suite */
+       ADD_TCASE(tc);
+}
+TEST_MAIN_END
 
 /* vim: set tw=78 sw=4 ts=4 noexpandtab : */