From a492499542b190a2b7e81188fe32e2461cddb20b Mon Sep 17 00:00:00 2001 From: hickert Date: Mon, 18 Sep 2006 10:18:41 +0000 Subject: [PATCH] Added acls to workstation template git-svn-id: https://oss.gonicus.de/repositories/gosa/trunk@4710 594d385d-05f5-0310-b6e9-bd551577e9d8 --- .../systems/class_workstationGeneric.inc | 3 +- plugins/admin/systems/workstation.tpl | 52 ++++++++++++------- 2 files changed, 35 insertions(+), 20 deletions(-) diff --git a/plugins/admin/systems/class_workstationGeneric.inc b/plugins/admin/systems/class_workstationGeneric.inc index 7fc3c74e0..4c25e5fb8 100644 --- a/plugins/admin/systems/class_workstationGeneric.inc +++ b/plugins/admin/systems/class_workstationGeneric.inc @@ -494,7 +494,8 @@ class workgeneric extends plugin "plCategory" => array("workstation" => array("description" => _("Workstation"), "objectClass" => "gotoWorkstation")), "plProvidedAcls"=> array( - "cn" => _("Workstation name"), + "cn" => _("Workstation name"), + "l" => _("Location") , "base" => _("Base") , "gotoMode" => _("Goto mode"), "gotoSyslogServer" => _("Syslog server"), diff --git a/plugins/admin/systems/workstation.tpl b/plugins/admin/systems/workstation.tpl index b48817dd7..6167c98cb 100644 --- a/plugins/admin/systems/workstation.tpl +++ b/plugins/admin/systems/workstation.tpl @@ -4,32 +4,30 @@ - {if $cn eq 'wdefault'} +{if $cn eq 'wdefault'} - {else} +{else} - {/if} +{/if} -
{t}Workstation template{/t}{$must} +{render acl=$cnACL} +{/render}
+{render acl=$lACL} +{/render}
{$must} - - {if $baseACL == ""} - - {else} - - {/if} + base . base . + base . base . base . base . +
@@ -38,17 +36,21 @@ {t}Mode{/t} - {html_options options=$modes selected=$gotoMode_select} +{/render} - {html_options options=$syslogservers selected=$gotoSyslogServer_select} +{/render} @@ -56,6 +58,7 @@
+{render acl=gotoNtpServerACL} {t}Inherit time server attributes{/t} +{/render}

+{render acl=$gotoNtpServerACL} +{/render}
- {html_options options=$gotoNtpServers} - - +{/render}
@@ -94,10 +106,12 @@
- {html_options options=$actions} +{/render} -- 2.30.2